Welcome![Sign In][Sign Up]
Location:
Search - testbench vhdl

Search list

[VHDL-FPGA-VerilogPWM

Description: 脉冲宽度调制,VHDL代码编写,包括QUARTUSII和MODELSIM工程以及testbench-Pulse width modulation, VHDL coding, including QUARTUSII and ModelSim engineering and Testbench
Platform: | Size: 348160 | Author: horse | Hits:

[VHDL-FPGA-VerilogFlash_ctrl_vhdl_tb

Description: VHDL编写的flash控制器源代码.包含testbench。-Prepared by flash controller VHDL source code. Contains testbench.
Platform: | Size: 5120 | Author: chaowang | Hits:

[VHDL-FPGA-Verilogsha-1

Description: 本算法基于leon2协处理器接口标准,内含testbench,在modelsim中仿真通过,在ise9.2中综合及后仿真通过。-The algorithm is based on the leon2 co-processor interface standard, including testbench, ModelSim simulation in the adoption, in ise9.2 integrated and adopted after the simulation.
Platform: | Size: 15360 | Author: ninghuiming | Hits:

[OtherVerilogtestbench

Description: Writing Testbenches classic book in verilog testbench-Writing Testbenchesclassic book in verilog testbench
Platform: | Size: 57344 | Author: dan | Hits:

[VHDL-FPGA-Verilog32bit_RISC_CPU

Description: 32 risc cpu的参考设计,内涵完整的testbench-32 risc cpu s reference design, the connotation of complete Testbench
Platform: | Size: 2444288 | Author: zys | Hits:

[VHDL-FPGA-Verilogcustom_cordic

Description: verilog编程开发的cordic例程,计算SIN,COS功能与计算幅值角度功能可设定,运算宽度可设定,并有完善的TESTBENCH。-Verilog programming developed CORDIC routines to calculate SIN, COS function and calculating the amplitude of the perspective of function can be set, computing the width can be set, and perfect TESTBENCH.
Platform: | Size: 119808 | Author: yangyu | Hits:

[SCM8051_IP_Verilog

Description: 8051单片机源码verilog版本 包括rtl, testbench, synthesis -Verilog source code version of 8051, including rtl, testbench, synthesis
Platform: | Size: 508928 | Author: carol | Hits:

[VHDL-FPGA-VerilogAVR_Core.tar

Description: vhdl语言编写的AVR单片机IP核,里面有testbench和说明文档。-VHDL language AVR Single Chip IP core, there are Testbench and documentation.
Platform: | Size: 59392 | Author: blur | Hits:

[VHDL-FPGA-VerilogAm29lv160d

Description: 在逻辑的系统仿真中使用的FLASH模型(AMD的Am29lv160d),包括VHDL代码文件和verilog代码文件和testbench,并且有相应的pdf说明文档。-In the logic system used in FLASH simulation model (AMD s Am29lv160d), including VHDL and Verilog source code files of documents and testbench, and the corresponding pdf documentation.
Platform: | Size: 216064 | Author: 天策 | Hits:

[source in ebookXiaYuWen_8_RISC_CPU

Description: 夏宇闻8位RISC_CPU的完整代码+TESTBENCH(已调试) modelsim工程文件,包括书中所测试的三个程序和相关数据,绝对可用~所有信号名均遵从原书。在论坛中没有找到testbench的,只有一个mcu的代码,但很多和书中的是不一样的,自己改了下下~`````大家多多支持啊~`我觉得书中也还是有些不尽如人意的地方,如clk_gen.v中clk2,clk4是没有用的,assign clk1=~clk再用clk1的negedge clk1来触发各个module也是不太好的,会使时序恶化,综合时很可能会setup vio的,所以觉得直接用clk的上升沿来触发各个module比较好-XIA Yu-Wen 8 RISC_CPU complete code+ TESTBENCH (has debug) modelsim project documents, including the book by the three test procedures and related data, the absolute available ~ all signals were found in compliance with the original name. Not found in the forums Testbench, and there is only one mcu code, but many and the book is not the same as he changed a lot of support under the U.S. ~````` ah ~ `I think the book is still some uncertainty unsatisfactory places, such as clk_gen.v in clk2, clk4 is of no use, assign clk1 = ~ clk reuse CLK1 of negedge clk1 to trigger module is not all good, cause the deterioration of timing, synthesis is likely to setup vio, therefore, feel that the direct use of the rising edge of clk to trigger each module is better
Platform: | Size: 86016 | Author: 刘志伟 | Hits:

[VHDL-FPGA-Verilogcode

Description: 一个8位微处理器的VHDL代码以及testbench-8-bit processor VHDL
Platform: | Size: 8192 | Author: fei | Hits:

[Embeded-SCM Developi2c_core

Description: I2C core 及testbench(verilog)-I2C core and testbench [verilog]
Platform: | Size: 20480 | Author: xiaoheng | Hits:

[VHDL-FPGA-Verilogrs-codec-8-16

Description: RS[255,223]纠错码verilog源码,包含编码和解码模块,以及testbench等。-Verilog source code for RS[255,223] encoder and decoder, with testbench included.
Platform: | Size: 27648 | Author: 饶进平 | Hits:

[VHDL-FPGA-Verilogfifo1

Description: 异步FIFO的设计 包括testbench 已调试成功-Asynchronous FIFO design includes testbench debug success has been
Platform: | Size: 32768 | Author: 何勇 | Hits:

[Embeded-SCM Developpcie_vera_tb_latest.tar

Description: FEATURES • 16 bit PIPE Spec PCI Express Testbench • Link training • Initial Flow Control • Packet Classes for easy to build PHY,DLLP and TLP packets • DLLP 16 bit CRC and TLP LCRC generation • Sequence Number generation and checking • ACK TLP packets • Scrambling • MemRd MemWr CfgRd CfgWr TLPs -FEATURES • 16 bit PIPE Spec PCI Express Testbench • Link training • Initial Flow Control • Packet Classes for easy to build PHY,DLLP and TLP packets • DLLP 16 bit CRC and TLP LCRC generation • Sequence Number generation and checking • ACK TLP packets • Scrambling • MemRd MemWr CfgRd CfgWr TLPs
Platform: | Size: 169984 | Author: Arun | Hits:

[VHDL-FPGA-Verilogima_adpcm_encoder_latest.tar

Description: This project features a full-hardware sound compressor using the well known algorithm: IMA ADPCM. The core acts as a slave WISHBONE device. The output is perfectly compatible with any sound player with the IMA ADPCM codec (included by default in every Windows). Includes a testbench that takes an uncompressed PCM 16 bits Mono WAV file and outputs an IMA ADPCM compressed WAV file. Compression ratio is fixed for IMA-ADPCM, being 4:1. PLEASE NOTICE THAT THIS CORE IS LICENSED UNDER http://creativecommons.org/licenses/by-nc-sa/3.0/ (Creative Commons Attribution-Noncommercial-Share Alike 3.0 Unported). That means you may use it only for NON-COMMERCIAL purposes.
Platform: | Size: 23552 | Author: Arun | Hits:

[VHDL-FPGA-VerilogDW8051_ALL

Description: 包中包括, DW8051完整的Verilog HDL代码 两本手册: DesignWare Library DW8051 MacroCell, Datasheet DesignWare DW8051 MacroCell Databook 三篇51论文: 基于IP 核的PSTN 短消息终端SoC 软硬件协同设计 Embedded TCP/ IP Chip Based on DW8051 Core 以8051为核的SOC中的万年历的设计 -DW8051 is designed by synopsys, and its instruction cycle is 4 clock, which lead to about 3 times faster than Intel 8051 with the same oscillator frequency. I writed ram, rom, some other perpherals such as DES, RNG, and its testbench, and it worked all right!
Platform: | Size: 1588224 | Author: myfingerhurt | Hits:

[VHDL-FPGA-Verilogshiftregister

Description: Shift Register. VHDL code and its testbench.
Platform: | Size: 1024 | Author: mehmet | Hits:

[Compress-Decompress algrithmsCOMPRESSION

Description: Simple LZW image compression implemented on Spartan-3e starter kit using Xilinx9.2 and Modelsim for testbench simulation.
Platform: | Size: 198656 | Author: amrabbas | Hits:

[Crack Hackmini_aes_latest[1].tar

Description: AES 加解密 代码, 有文档说明,testbench-AES encoding decoding source code in HDL
Platform: | Size: 233472 | Author: wangbin | Hits:
« 1 2 3 4 56 7 8 9 10 ... 14 »

CodeBus www.codebus.net